电脑桌面
添加内谋知识网--内谋文库,文书,范文下载到电脑桌面
安装后可以在桌面快捷访问

最新四位十进制频率计设计EDA实验报告(五篇)

来源:互联网作者:editor2024-07-281

四位十进制频率计设计EDA实验报告篇一

一、实验目的

1、掌握多位计数器相连的设计方法。

2、掌握十进制,六进制,二十四进制计数器的设计方法。

3、掌握扬声器的驱动及报时的设计。

4、led灯的花样显示。

5、掌握cpld技术的层次化设计方法。

二、实验器材

1、主芯片altera epf10k10lc84-4。2、8个led灯。

3、扬声器。4、4位数码显示管。5、8个按键开关(清零,调小时,调分钟)。

三、实验内容

根据电路特点,运用层次设计概念设计。将此设计任务分成若干模块,规定每一模块的功能和各模块之间的接口。

1、时计时程序: library ieee;use ;use ;

entity hour is

port(reset,clk : in std_logic;

daout : out std_logic_vector(7 downto 0));end hour;

architecture behav of hour is

signal count : std_logic_vector(3 downto 0);signal counter : std_logic_vector(3 downto 0);begin

p1: process(reset,clk)

begin

if reset='0' then

count

最新四位十进制频率计设计EDA实验报告(五篇)

四位十进制频率计设计EDA实验报告篇一一、实验目的1、掌握多位计数器相连的设计方法。2、掌握十进制,六进制,二十四进制计数器的设计方法...
点击下载文档文档为doc格式

声明:除非特别标注,否则均为本站原创文章,转载时请以链接形式注明文章出处。如若本站内容侵犯了原著者的合法权益,可联系本站删除。

确认删除?